Besonderhede van voorbeeld: 4198319170646561198

Metadata

Author: Literature

Data

English[en]
The write enable input (WE) is used to control memory WRITE operations.
Spanish[es]
La entrada de habilitación de escritura (WE) se utiliza para controlar las operaciones de escritura en memoria.

History

Your action: